how system verilog program module avoids timing issues ?





.everyoneloves__top-leaderboard:empty,.everyoneloves__mid-leaderboard:empty,.everyoneloves__bot-mid-leaderboard:empty{ height:90px;width:728px;box-sizing:border-box;
}







-2















why exactly the program module concept came into picture ? I read in one book that it is to avoid the timing violations. How ?



Any suggestions or help is highly appreciated.



Thank You
Sam










share|improve this question


















  • 1





    Please Take the Tour , and be sure to read How do I ask a good question? . try to give a detailed information about your question. What issue your facing? , where your blocking? , what you tried so far? like the way you have to give.

    – Agilanbu
    Nov 17 '18 at 6:50











  • timing issues have nothing to do with modules.

    – Serge
    Nov 17 '18 at 14:49


















-2















why exactly the program module concept came into picture ? I read in one book that it is to avoid the timing violations. How ?



Any suggestions or help is highly appreciated.



Thank You
Sam










share|improve this question


















  • 1





    Please Take the Tour , and be sure to read How do I ask a good question? . try to give a detailed information about your question. What issue your facing? , where your blocking? , what you tried so far? like the way you have to give.

    – Agilanbu
    Nov 17 '18 at 6:50











  • timing issues have nothing to do with modules.

    – Serge
    Nov 17 '18 at 14:49














-2












-2








-2








why exactly the program module concept came into picture ? I read in one book that it is to avoid the timing violations. How ?



Any suggestions or help is highly appreciated.



Thank You
Sam










share|improve this question














why exactly the program module concept came into picture ? I read in one book that it is to avoid the timing violations. How ?



Any suggestions or help is highly appreciated.



Thank You
Sam







system-verilog






share|improve this question













share|improve this question











share|improve this question




share|improve this question










asked Nov 17 '18 at 6:43









user226751user226751

1




1








  • 1





    Please Take the Tour , and be sure to read How do I ask a good question? . try to give a detailed information about your question. What issue your facing? , where your blocking? , what you tried so far? like the way you have to give.

    – Agilanbu
    Nov 17 '18 at 6:50











  • timing issues have nothing to do with modules.

    – Serge
    Nov 17 '18 at 14:49














  • 1





    Please Take the Tour , and be sure to read How do I ask a good question? . try to give a detailed information about your question. What issue your facing? , where your blocking? , what you tried so far? like the way you have to give.

    – Agilanbu
    Nov 17 '18 at 6:50











  • timing issues have nothing to do with modules.

    – Serge
    Nov 17 '18 at 14:49








1




1





Please Take the Tour , and be sure to read How do I ask a good question? . try to give a detailed information about your question. What issue your facing? , where your blocking? , what you tried so far? like the way you have to give.

– Agilanbu
Nov 17 '18 at 6:50





Please Take the Tour , and be sure to read How do I ask a good question? . try to give a detailed information about your question. What issue your facing? , where your blocking? , what you tried so far? like the way you have to give.

– Agilanbu
Nov 17 '18 at 6:50













timing issues have nothing to do with modules.

– Serge
Nov 17 '18 at 14:49





timing issues have nothing to do with modules.

– Serge
Nov 17 '18 at 14:49












1 Answer
1






active

oldest

votes


















1














Normally, a question like this is considered to broad and opinionated for SO. But since I was directly involved in the development and standardization of SystemVerilog, I can present a few facts from an article I wrote about it.



Program blocks came directly from a donation of the Vera language to SystemVerilog by Synopsys , and try to mimic the scheduling semantics that a PLI application has interacting with a Verilog simulator.



A program block's original purpose in SystemVerilog was to avoid race conditions (not timing violations) between sampling and driving signals between the DUT and the Testbench. It also controlled starting and termination of the "test".



Since its introduction, a number of other features within SystemVerilog have subsumed the need for program blocks as I explain in my article.






share|improve this answer
























    Your Answer






    StackExchange.ifUsing("editor", function () {
    StackExchange.using("externalEditor", function () {
    StackExchange.using("snippets", function () {
    StackExchange.snippets.init();
    });
    });
    }, "code-snippets");

    StackExchange.ready(function() {
    var channelOptions = {
    tags: "".split(" "),
    id: "1"
    };
    initTagRenderer("".split(" "), "".split(" "), channelOptions);

    StackExchange.using("externalEditor", function() {
    // Have to fire editor after snippets, if snippets enabled
    if (StackExchange.settings.snippets.snippetsEnabled) {
    StackExchange.using("snippets", function() {
    createEditor();
    });
    }
    else {
    createEditor();
    }
    });

    function createEditor() {
    StackExchange.prepareEditor({
    heartbeatType: 'answer',
    autoActivateHeartbeat: false,
    convertImagesToLinks: true,
    noModals: true,
    showLowRepImageUploadWarning: true,
    reputationToPostImages: 10,
    bindNavPrevention: true,
    postfix: "",
    imageUploader: {
    brandingHtml: "Powered by u003ca class="icon-imgur-white" href="https://imgur.com/"u003eu003c/au003e",
    contentPolicyHtml: "User contributions licensed under u003ca href="https://creativecommons.org/licenses/by-sa/3.0/"u003ecc by-sa 3.0 with attribution requiredu003c/au003e u003ca href="https://stackoverflow.com/legal/content-policy"u003e(content policy)u003c/au003e",
    allowUrls: true
    },
    onDemand: true,
    discardSelector: ".discard-answer"
    ,immediatelyShowMarkdownHelp:true
    });


    }
    });














    draft saved

    draft discarded


















    StackExchange.ready(
    function () {
    StackExchange.openid.initPostLogin('.new-post-login', 'https%3a%2f%2fstackoverflow.com%2fquestions%2f53348906%2fhow-system-verilog-program-module-avoids-timing-issues%23new-answer', 'question_page');
    }
    );

    Post as a guest















    Required, but never shown

























    1 Answer
    1






    active

    oldest

    votes








    1 Answer
    1






    active

    oldest

    votes









    active

    oldest

    votes






    active

    oldest

    votes









    1














    Normally, a question like this is considered to broad and opinionated for SO. But since I was directly involved in the development and standardization of SystemVerilog, I can present a few facts from an article I wrote about it.



    Program blocks came directly from a donation of the Vera language to SystemVerilog by Synopsys , and try to mimic the scheduling semantics that a PLI application has interacting with a Verilog simulator.



    A program block's original purpose in SystemVerilog was to avoid race conditions (not timing violations) between sampling and driving signals between the DUT and the Testbench. It also controlled starting and termination of the "test".



    Since its introduction, a number of other features within SystemVerilog have subsumed the need for program blocks as I explain in my article.






    share|improve this answer




























      1














      Normally, a question like this is considered to broad and opinionated for SO. But since I was directly involved in the development and standardization of SystemVerilog, I can present a few facts from an article I wrote about it.



      Program blocks came directly from a donation of the Vera language to SystemVerilog by Synopsys , and try to mimic the scheduling semantics that a PLI application has interacting with a Verilog simulator.



      A program block's original purpose in SystemVerilog was to avoid race conditions (not timing violations) between sampling and driving signals between the DUT and the Testbench. It also controlled starting and termination of the "test".



      Since its introduction, a number of other features within SystemVerilog have subsumed the need for program blocks as I explain in my article.






      share|improve this answer


























        1












        1








        1







        Normally, a question like this is considered to broad and opinionated for SO. But since I was directly involved in the development and standardization of SystemVerilog, I can present a few facts from an article I wrote about it.



        Program blocks came directly from a donation of the Vera language to SystemVerilog by Synopsys , and try to mimic the scheduling semantics that a PLI application has interacting with a Verilog simulator.



        A program block's original purpose in SystemVerilog was to avoid race conditions (not timing violations) between sampling and driving signals between the DUT and the Testbench. It also controlled starting and termination of the "test".



        Since its introduction, a number of other features within SystemVerilog have subsumed the need for program blocks as I explain in my article.






        share|improve this answer













        Normally, a question like this is considered to broad and opinionated for SO. But since I was directly involved in the development and standardization of SystemVerilog, I can present a few facts from an article I wrote about it.



        Program blocks came directly from a donation of the Vera language to SystemVerilog by Synopsys , and try to mimic the scheduling semantics that a PLI application has interacting with a Verilog simulator.



        A program block's original purpose in SystemVerilog was to avoid race conditions (not timing violations) between sampling and driving signals between the DUT and the Testbench. It also controlled starting and termination of the "test".



        Since its introduction, a number of other features within SystemVerilog have subsumed the need for program blocks as I explain in my article.







        share|improve this answer












        share|improve this answer



        share|improve this answer










        answered Nov 17 '18 at 16:29









        dave_59dave_59

        21.1k21639




        21.1k21639
































            draft saved

            draft discarded




















































            Thanks for contributing an answer to Stack Overflow!


            • Please be sure to answer the question. Provide details and share your research!

            But avoid



            • Asking for help, clarification, or responding to other answers.

            • Making statements based on opinion; back them up with references or personal experience.


            To learn more, see our tips on writing great answers.




            draft saved


            draft discarded














            StackExchange.ready(
            function () {
            StackExchange.openid.initPostLogin('.new-post-login', 'https%3a%2f%2fstackoverflow.com%2fquestions%2f53348906%2fhow-system-verilog-program-module-avoids-timing-issues%23new-answer', 'question_page');
            }
            );

            Post as a guest















            Required, but never shown





















































            Required, but never shown














            Required, but never shown












            Required, but never shown







            Required, but never shown

































            Required, but never shown














            Required, but never shown












            Required, but never shown







            Required, but never shown







            Popular posts from this blog

            Xamarin.iOS Cant Deploy on Iphone

            Glorious Revolution

            Dulmage-Mendelsohn matrix decomposition in Python