How to set inputs in vhdl (ISE Design Suite) without a testbench?












-2















I want to quickly test something without having to go through the long process of creating a testbench. Is there any way in which I can just simply change the input of A from "UUUU" to "0111", for example?










share|improve this question























  • Before you keep downvoting me, yes I have searched for answers before posting and there simply aren't. I will be evaluated and I won't have time to create a testbench during the exam, and I'm sure there must be an easy way to do this but I can't figure it out

    – Jaime Fernández
    Nov 13 '18 at 13:48











  • There will be something proprietary that your simulator will do, but I very much doubt it would be quicker than dong a very simple testbench - literally five minutes work, frankly.

    – Matthew Taylor
    Nov 13 '18 at 14:03






  • 1





    Time taken to type in and run this testbench from scratch - 3:30 - including wasting 45s debugging some issue with EDA Playground (It seemed to think it was simulating SystemVerilog for some reason).

    – Matthew Taylor
    Nov 13 '18 at 14:09








  • 1





    And it gets faster after three, four test benches as I tend to copy-paste standard sections like generating clock(s) and reset.

    – Oldfart
    Nov 13 '18 at 14:44






  • 1





    Right-click on the signal in waveform window and you'll find a Force constant option. It is easy to do this for one or two signals. As the design grows, it gets more and more time-consuming and difficult. Writing a testbench is the right way. It is beneficial as pointed out by earlier comments. Moreover, with ISE, you can also generate a template testbench specifically for the entity/component you want to test. Tool will generate a nice template. You only have to add stimuli and correct your clock and reset port-names. It doesn't get easier than that.!

    – Vinay Madapura
    Nov 14 '18 at 9:17
















-2















I want to quickly test something without having to go through the long process of creating a testbench. Is there any way in which I can just simply change the input of A from "UUUU" to "0111", for example?










share|improve this question























  • Before you keep downvoting me, yes I have searched for answers before posting and there simply aren't. I will be evaluated and I won't have time to create a testbench during the exam, and I'm sure there must be an easy way to do this but I can't figure it out

    – Jaime Fernández
    Nov 13 '18 at 13:48











  • There will be something proprietary that your simulator will do, but I very much doubt it would be quicker than dong a very simple testbench - literally five minutes work, frankly.

    – Matthew Taylor
    Nov 13 '18 at 14:03






  • 1





    Time taken to type in and run this testbench from scratch - 3:30 - including wasting 45s debugging some issue with EDA Playground (It seemed to think it was simulating SystemVerilog for some reason).

    – Matthew Taylor
    Nov 13 '18 at 14:09








  • 1





    And it gets faster after three, four test benches as I tend to copy-paste standard sections like generating clock(s) and reset.

    – Oldfart
    Nov 13 '18 at 14:44






  • 1





    Right-click on the signal in waveform window and you'll find a Force constant option. It is easy to do this for one or two signals. As the design grows, it gets more and more time-consuming and difficult. Writing a testbench is the right way. It is beneficial as pointed out by earlier comments. Moreover, with ISE, you can also generate a template testbench specifically for the entity/component you want to test. Tool will generate a nice template. You only have to add stimuli and correct your clock and reset port-names. It doesn't get easier than that.!

    – Vinay Madapura
    Nov 14 '18 at 9:17














-2












-2








-2








I want to quickly test something without having to go through the long process of creating a testbench. Is there any way in which I can just simply change the input of A from "UUUU" to "0111", for example?










share|improve this question














I want to quickly test something without having to go through the long process of creating a testbench. Is there any way in which I can just simply change the input of A from "UUUU" to "0111", for example?







vhdl






share|improve this question













share|improve this question











share|improve this question




share|improve this question










asked Nov 13 '18 at 12:52









Jaime FernándezJaime Fernández

393




393













  • Before you keep downvoting me, yes I have searched for answers before posting and there simply aren't. I will be evaluated and I won't have time to create a testbench during the exam, and I'm sure there must be an easy way to do this but I can't figure it out

    – Jaime Fernández
    Nov 13 '18 at 13:48











  • There will be something proprietary that your simulator will do, but I very much doubt it would be quicker than dong a very simple testbench - literally five minutes work, frankly.

    – Matthew Taylor
    Nov 13 '18 at 14:03






  • 1





    Time taken to type in and run this testbench from scratch - 3:30 - including wasting 45s debugging some issue with EDA Playground (It seemed to think it was simulating SystemVerilog for some reason).

    – Matthew Taylor
    Nov 13 '18 at 14:09








  • 1





    And it gets faster after three, four test benches as I tend to copy-paste standard sections like generating clock(s) and reset.

    – Oldfart
    Nov 13 '18 at 14:44






  • 1





    Right-click on the signal in waveform window and you'll find a Force constant option. It is easy to do this for one or two signals. As the design grows, it gets more and more time-consuming and difficult. Writing a testbench is the right way. It is beneficial as pointed out by earlier comments. Moreover, with ISE, you can also generate a template testbench specifically for the entity/component you want to test. Tool will generate a nice template. You only have to add stimuli and correct your clock and reset port-names. It doesn't get easier than that.!

    – Vinay Madapura
    Nov 14 '18 at 9:17



















  • Before you keep downvoting me, yes I have searched for answers before posting and there simply aren't. I will be evaluated and I won't have time to create a testbench during the exam, and I'm sure there must be an easy way to do this but I can't figure it out

    – Jaime Fernández
    Nov 13 '18 at 13:48











  • There will be something proprietary that your simulator will do, but I very much doubt it would be quicker than dong a very simple testbench - literally five minutes work, frankly.

    – Matthew Taylor
    Nov 13 '18 at 14:03






  • 1





    Time taken to type in and run this testbench from scratch - 3:30 - including wasting 45s debugging some issue with EDA Playground (It seemed to think it was simulating SystemVerilog for some reason).

    – Matthew Taylor
    Nov 13 '18 at 14:09








  • 1





    And it gets faster after three, four test benches as I tend to copy-paste standard sections like generating clock(s) and reset.

    – Oldfart
    Nov 13 '18 at 14:44






  • 1





    Right-click on the signal in waveform window and you'll find a Force constant option. It is easy to do this for one or two signals. As the design grows, it gets more and more time-consuming and difficult. Writing a testbench is the right way. It is beneficial as pointed out by earlier comments. Moreover, with ISE, you can also generate a template testbench specifically for the entity/component you want to test. Tool will generate a nice template. You only have to add stimuli and correct your clock and reset port-names. It doesn't get easier than that.!

    – Vinay Madapura
    Nov 14 '18 at 9:17

















Before you keep downvoting me, yes I have searched for answers before posting and there simply aren't. I will be evaluated and I won't have time to create a testbench during the exam, and I'm sure there must be an easy way to do this but I can't figure it out

– Jaime Fernández
Nov 13 '18 at 13:48





Before you keep downvoting me, yes I have searched for answers before posting and there simply aren't. I will be evaluated and I won't have time to create a testbench during the exam, and I'm sure there must be an easy way to do this but I can't figure it out

– Jaime Fernández
Nov 13 '18 at 13:48













There will be something proprietary that your simulator will do, but I very much doubt it would be quicker than dong a very simple testbench - literally five minutes work, frankly.

– Matthew Taylor
Nov 13 '18 at 14:03





There will be something proprietary that your simulator will do, but I very much doubt it would be quicker than dong a very simple testbench - literally five minutes work, frankly.

– Matthew Taylor
Nov 13 '18 at 14:03




1




1





Time taken to type in and run this testbench from scratch - 3:30 - including wasting 45s debugging some issue with EDA Playground (It seemed to think it was simulating SystemVerilog for some reason).

– Matthew Taylor
Nov 13 '18 at 14:09







Time taken to type in and run this testbench from scratch - 3:30 - including wasting 45s debugging some issue with EDA Playground (It seemed to think it was simulating SystemVerilog for some reason).

– Matthew Taylor
Nov 13 '18 at 14:09






1




1





And it gets faster after three, four test benches as I tend to copy-paste standard sections like generating clock(s) and reset.

– Oldfart
Nov 13 '18 at 14:44





And it gets faster after three, four test benches as I tend to copy-paste standard sections like generating clock(s) and reset.

– Oldfart
Nov 13 '18 at 14:44




1




1





Right-click on the signal in waveform window and you'll find a Force constant option. It is easy to do this for one or two signals. As the design grows, it gets more and more time-consuming and difficult. Writing a testbench is the right way. It is beneficial as pointed out by earlier comments. Moreover, with ISE, you can also generate a template testbench specifically for the entity/component you want to test. Tool will generate a nice template. You only have to add stimuli and correct your clock and reset port-names. It doesn't get easier than that.!

– Vinay Madapura
Nov 14 '18 at 9:17





Right-click on the signal in waveform window and you'll find a Force constant option. It is easy to do this for one or two signals. As the design grows, it gets more and more time-consuming and difficult. Writing a testbench is the right way. It is beneficial as pointed out by earlier comments. Moreover, with ISE, you can also generate a template testbench specifically for the entity/component you want to test. Tool will generate a nice template. You only have to add stimuli and correct your clock and reset port-names. It doesn't get easier than that.!

– Vinay Madapura
Nov 14 '18 at 9:17












1 Answer
1






active

oldest

votes


















0














Add default port values like in



entity foo is
port (
bar1 : in std_logic := '0';
bar2 : out std_logic := '0'
);
end entity foo;


Else forcing the value in the simulator works fine, as commented






share|improve this answer























    Your Answer






    StackExchange.ifUsing("editor", function () {
    StackExchange.using("externalEditor", function () {
    StackExchange.using("snippets", function () {
    StackExchange.snippets.init();
    });
    });
    }, "code-snippets");

    StackExchange.ready(function() {
    var channelOptions = {
    tags: "".split(" "),
    id: "1"
    };
    initTagRenderer("".split(" "), "".split(" "), channelOptions);

    StackExchange.using("externalEditor", function() {
    // Have to fire editor after snippets, if snippets enabled
    if (StackExchange.settings.snippets.snippetsEnabled) {
    StackExchange.using("snippets", function() {
    createEditor();
    });
    }
    else {
    createEditor();
    }
    });

    function createEditor() {
    StackExchange.prepareEditor({
    heartbeatType: 'answer',
    autoActivateHeartbeat: false,
    convertImagesToLinks: true,
    noModals: true,
    showLowRepImageUploadWarning: true,
    reputationToPostImages: 10,
    bindNavPrevention: true,
    postfix: "",
    imageUploader: {
    brandingHtml: "Powered by u003ca class="icon-imgur-white" href="https://imgur.com/"u003eu003c/au003e",
    contentPolicyHtml: "User contributions licensed under u003ca href="https://creativecommons.org/licenses/by-sa/3.0/"u003ecc by-sa 3.0 with attribution requiredu003c/au003e u003ca href="https://stackoverflow.com/legal/content-policy"u003e(content policy)u003c/au003e",
    allowUrls: true
    },
    onDemand: true,
    discardSelector: ".discard-answer"
    ,immediatelyShowMarkdownHelp:true
    });


    }
    });














    draft saved

    draft discarded


















    StackExchange.ready(
    function () {
    StackExchange.openid.initPostLogin('.new-post-login', 'https%3a%2f%2fstackoverflow.com%2fquestions%2f53281434%2fhow-to-set-inputs-in-vhdl-ise-design-suite-without-a-testbench%23new-answer', 'question_page');
    }
    );

    Post as a guest















    Required, but never shown

























    1 Answer
    1






    active

    oldest

    votes








    1 Answer
    1






    active

    oldest

    votes









    active

    oldest

    votes






    active

    oldest

    votes









    0














    Add default port values like in



    entity foo is
    port (
    bar1 : in std_logic := '0';
    bar2 : out std_logic := '0'
    );
    end entity foo;


    Else forcing the value in the simulator works fine, as commented






    share|improve this answer




























      0














      Add default port values like in



      entity foo is
      port (
      bar1 : in std_logic := '0';
      bar2 : out std_logic := '0'
      );
      end entity foo;


      Else forcing the value in the simulator works fine, as commented






      share|improve this answer


























        0












        0








        0







        Add default port values like in



        entity foo is
        port (
        bar1 : in std_logic := '0';
        bar2 : out std_logic := '0'
        );
        end entity foo;


        Else forcing the value in the simulator works fine, as commented






        share|improve this answer













        Add default port values like in



        entity foo is
        port (
        bar1 : in std_logic := '0';
        bar2 : out std_logic := '0'
        );
        end entity foo;


        Else forcing the value in the simulator works fine, as commented







        share|improve this answer












        share|improve this answer



        share|improve this answer










        answered Nov 16 '18 at 0:08









        B. GoB. Go

        557




        557






























            draft saved

            draft discarded




















































            Thanks for contributing an answer to Stack Overflow!


            • Please be sure to answer the question. Provide details and share your research!

            But avoid



            • Asking for help, clarification, or responding to other answers.

            • Making statements based on opinion; back them up with references or personal experience.


            To learn more, see our tips on writing great answers.




            draft saved


            draft discarded














            StackExchange.ready(
            function () {
            StackExchange.openid.initPostLogin('.new-post-login', 'https%3a%2f%2fstackoverflow.com%2fquestions%2f53281434%2fhow-to-set-inputs-in-vhdl-ise-design-suite-without-a-testbench%23new-answer', 'question_page');
            }
            );

            Post as a guest















            Required, but never shown





















































            Required, but never shown














            Required, but never shown












            Required, but never shown







            Required, but never shown

































            Required, but never shown














            Required, but never shown












            Required, but never shown







            Required, but never shown







            Popular posts from this blog

            Xamarin.iOS Cant Deploy on Iphone

            Glorious Revolution

            Dulmage-Mendelsohn matrix decomposition in Python